Welcome![Sign In][Sign Up]
Location:
Search - VHDL CPLD

Search list

[Communication用VHDL语言在CPLD_FPGA上实现浮点运算

Description: 用VHDL语言在CPLD/FPGA上实现浮点运算的方法-in VHDL CPLD / FPGA achieve floating-point computation methods
Platform: | Size: 83648 | Author: wei | Hits:

[Embeded-SCM Developdigitalsecondwatch(VHDL)

Description: 应用VHDL、CPLD、EDA开发软件设计数字系统,能够显著增强设计的灵活性,提高产品的性能,减轻设计的工作量,缩短设计周期。传统的“固定功能集成块+连线”的设计方法正逐步地缩小应用范围,而基于芯片的设计方法正成为电子系统设计的主流。VHDL语言、CPLD/FPGA、EDA开发软件已成为设计复杂数字电路系统的重要工具。-use VHDL, CPLD, EDA software to design digital system, can significantly improve design flexibility, improve product performance, reduce the workload designed to shorten the design cycle. The traditional "fixed-function IC Alliance," The design method is to gradually narrow the scope of application, For the chip design is becoming electronic systems design. VHDL, CPLD / FPGA, EDA software development has become a complex digital circuit design system an important tool.
Platform: | Size: 1451 | Author: laiweidong | Hits:

[VHDL-FPGA-Verilogwhole

Description: ov7620的CPLD采集程序,VHDL语言-ov7620 CPLD acquisition procedures, VHDL
Platform: | Size: 1024 | Author: 韦新峰 | Hits:

[Embeded-SCM DevelopJTAG仿真器CPLD

Description: JTAG仿真器CPLD -JTAG Emulator CPLD
Platform: | Size: 345088 | Author: 李秉 | Hits:

[Embeded-SCM DevelopVHDL Source

Description: 无线耳机通讯用CPLD的VHDL源码-wireless headset communications CPLD VHDL source
Platform: | Size: 13312 | Author: 王阿蒙 | Hits:

[VHDL-FPGA-Verilog用VHDL语言在CPLD上实现串行通信

Description: 用VHDL语言在CPLD上实现串行通信-using VHDL on the CPLD Serial Communication
Platform: | Size: 4096 | Author: 陈旭 | Hits:

[Communication用cpld实现曼彻斯特编码2

Description: 此曼彻斯特码的解码程序是采用VHDL硬件语言编写的。-this procedure code decoder VHDL hardware is used to prepare the language.
Platform: | Size: 3072 | Author: 游畅 | Hits:

[VHDL-FPGA-VerilogCPLD的跑馬燈

Description: cpld的入门交流:CPLD的跑馬燈一个简易型cpld试验电路用VHDL语言遍的-cpld entry exchange : CPLD 5,250 cpld an easy-to-use test circuit using VHDL times the
Platform: | Size: 64512 | Author: 口是心非 | Hits:

[Program doc用VHDL语言在CPLD_FPGA上实现浮点运算

Description: 用VHDL语言在CPLD/FPGA上实现浮点运算的方法-in VHDL CPLD/FPGA achieve floating-point computation methods
Platform: | Size: 82944 | Author: wei | Hits:

[VHDL-FPGA-Verilogtbcpu8bit2

Description: 极小的CPU的VHDL源代码,仅需要占用32个宏单元的CPLD。除了VHDL源代码还包括了汇编器的C源代码-minimal CPU VHDL source code, only occupy 32 macrocell CPLD. Apart from VHDL source code also includes a compilation of C source code
Platform: | Size: 205824 | Author: 冰激凌 | Hits:

[VHDL-FPGA-VerilogCPLD--VHDL

Description: VHDL的基础知识,一切从基础开始!希望这个对大家有所帮助!-VHDL basic knowledge, everything from the foundation started! We hope that the right help!
Platform: | Size: 30720 | Author: 老纪 | Hits:

[VHDL-FPGA-Verilogvhdlprogram

Description: 用复杂可编程逻辑器件(CPLD)实现的数字钟控系统-with complex programmable logic devices (CPLD) with a digital clock control system
Platform: | Size: 5120 | Author: 王永 | Hits:

[VHDL-FPGA-Verilogn_dc_motor

Description: vhdl实现的直流电机控制器 通用程序 对不同fpga/cpld,可能需要修改部分源代码。-VHDL achieved DC Motor Controller General of different procedures they simply/cpld. may need to amend some source code.
Platform: | Size: 2048 | Author: 刘挺 | Hits:

[VHDL-FPGA-VerilogAltera_uart_VHDL

Description: FPGA/CPLD应用,uart通讯VHDL原码.-FPGA/CPLD applications, UART communications VHDL source.
Platform: | Size: 10240 | Author: cyberworm | Hits:

[VHDL-FPGA-VerilogMVHDL

Description: 本程式為並列flash ROM之控制程式, 可將flash rom的資料讀出後, 經過CPLD controller將圖檔轉成VESA影像訊號, 輸出至螢幕, 本程式已經過硬體驗證-the parallel program for controlling flash ROM programs, rom flash can be read out information, After drawing CPLD controller will turn into VESA video signal and output to screen. The program has strong experience card
Platform: | Size: 4977664 | Author: 明華 | Hits:

[MPI95108325

Description: 通过CPLD实现串行通信之VHDL语言,好看易懂-through CPLD serial communications VHDL, pretty easy to understand
Platform: | Size: 2048 | Author: dengri | Hits:

[Othermcu+CPLD

Description: 嵌入式工程师必要的参考材料。mcu 和 CPLD 综合运用 ,有大量实例-Embedded engineers necessary reference material. mcu and comprehensive use of CPLD, a large number of examples
Platform: | Size: 7961600 | Author: cruise | Hits:

[Embeded-SCM DevelopCPLD

Description: CPLD初始化程序,用于DSP5416与SAA7111A的时序控制初始化.-CPLD initialization procedure for the DSP5416 and the timing control SAA7111A initialization.
Platform: | Size: 754688 | Author: 张五一 | Hits:

[Othercpld-pci

Description: 使用 cpld实现pci的功能,思路较为简单方面,希望大家可以测试一下-Use cpld achieve pci functions, a relatively simple idea, the hope that we can test
Platform: | Size: 1024 | Author: tiantian | Hits:

[VHDL-FPGA-VerilogI2C-CPLD

Description: I2C总线通讯的CPLD实现,包括详细的设计方法及源程序。-I2C总线通讯的CPLD实现
Platform: | Size: 270336 | Author: nmh | Hits:
« 12 3 4 5 6 7 8 9 10 ... 35 »

CodeBus www.codebus.net